日期:2014-05-16  浏览次数:20662 次

[Ubuntu][64bit]Linux下的Verilog仿真-1

github: git://github.com/adream307/iverilogTest.git

1.使用 iverilog 编译

2.使用 vvp 运行仿真程序

3.使用 gtkwave 观察仿真波形

注意事项:

使用 gtkwave 观测仿真波形,必须生成 lxt文件,那么在测试脚本(tb.v)中必须使用 $dumpfile, $dumpvars, $dumpflush

使用步骤:

1.git clone git://github.com/adream307/iverilogTest.git

2.cd iverilogTest

3.make

4.gtkwave tb.lxt,如下图


5.选择 tb-test,选中iCLK,iRST_N,iD,oD,这4个信号,单击 "Insert"